日韩性视频-久久久蜜桃-www中文字幕-在线中文字幕av-亚洲欧美一区二区三区四区-撸久久-香蕉视频一区-久久无码精品丰满人妻-国产高潮av-激情福利社-日韩av网址大全-国产精品久久999-日本五十路在线-性欧美在线-久久99精品波多结衣一区-男女午夜免费视频-黑人极品ⅴideos精品欧美棵-人人妻人人澡人人爽精品欧美一区-日韩一区在线看-欧美a级在线免费观看

歡迎訪問 生活随笔!

生活随笔

當前位置: 首頁 > 编程资源 > 编程问答 >内容正文

编程问答

16 分频 32 分频是啥意思_Verilog 数字分频器的设计及验证

發布時間:2025/3/20 编程问答 53 豆豆
生活随笔 收集整理的這篇文章主要介紹了 16 分频 32 分频是啥意思_Verilog 数字分频器的设计及验证 小編覺得挺不錯的,現在分享給大家,幫大家做個參考.

1、分頻器的概念及應用

分頻器是指使輸出信號頻率為輸入信號頻率整數分之一的電子電路。在許多電子設備中如電子鐘、頻率合成器等,需要各種不同頻率的信號協同工作,常用的方法是以穩定度高的晶體振蕩器為主振源,通過變換得到所需要的各種頻率成分,分頻器是一種主要變換手段。

早期的分頻器多為正弦分頻器,隨著數字集成電路的發展,數字分頻器逐漸取代了正弦分頻器。

2、數字分頻器類型

數字分頻器類型主要包括了2的整數次冪的分頻器、偶數分頻、占空比為1:15的分頻電路和奇數分頻電路等。

3、分頻器的rtl和testbench

在硬件電路設計中時鐘信號是最重要的信號之一,經常需要對較高頻率的時鐘進行分頻操作,得到較低頻率的時鐘信號,這里以50Mhz為主振源,分別進行2的整數次冪的分頻器、偶數分頻、占空比為1:15的分頻電路和奇數分頻電路。

3.1 2的整數次冪的分頻器

2的整數次冪的分頻器是指對時鐘信號clk進行2分頻、4分頻、8分頻和16分頻等,這是最簡單的分頻電路,只需要一個計數器就可以,這里采用4位計數器。

3.1.1 rtl代碼

//div2_4_8_16

module div2_4_8_16(

input?????????? rst_n,

input?????????? clk,

output????????? div2,

output????????? div4,

output????????? div8,

output????????? div16

);

reg [3:0] cnt;

?always@(posedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? cnt<=4'd0;

?????? else

????????????? cnt<=cnt+1'b1;

end

?assign div2??? =cnt[0];

assign div4??? =cnt[1];

assign div8??? =cnt[2];

assign div16?? =cnt[3];

?endmodule

3.1.2 testbench

//tb_div

`timescale 1ns/1ns

module tb_div();

reg clk;

reg rst_n;

wire div2;

wire div4;

wire div8;

wire div16;

?//parameter define

parameter PERIOD=20;

?//clock define

always #(PERIOD/2) clk=~clk;

?//初始化

initial

begin

?????? clk=1'b1;

?????? rst_n=1'b0;

?????? #(20*PERIOD)

?????? rst_n=1'b1;

?????? #(1000*PERIOD)

?????? $finish;

end

?//例化

div2_4_8_16 u0(

.clk(clk),

.rst_n(rst_n),

.div2(div2),

.div4(div4),

.div8(div8),

.div16(div16)

);

endmodule

3.1.3 波形分析

圖3.1 2的整數次冪的分頻器波形圖

3.2 偶數分頻電路

這里以50Mhz為主振源,采用6分頻。

3.2.1 rtl代碼

//div6

module div6(

input?????????? rst_n,

input?????????? clk,

output??reg??? div6

);

?reg [1:0] cnt;

?always@(posedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? cnt<=2'd0;

?????? elseif(cnt==2'd2)

????????????? cnt<=2'd0;

???????else

???????????????cnt<=cnt+1'b1;

end

?always@(posedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? div6<=1'b0;

?????? elseif(cnt==2'd2)

????????????? div6<=~div6;

?????? else

????????????? div6<=div6;

end

endmodule

3.2.2 testbench

//tb_div

`timescale 1ns/1ns

module tb_div6();

reg clk;

reg rst_n;

wire div6;

?//parameter define

parameter PERIOD=20;

?//clock define

always #(PERIOD/2) clk=~clk;

?//初始化

initial

begin

?????? clk=1'b1;

?????? rst_n=1'b0;

?????? #(20*PERIOD)

?????? rst_n=1'b1;

?????? #(1000*PERIOD)

?????? $finish;

end

?//例化

div6 u1(

.clk(clk),

.rst_n(rst_n),

.div6(div6)

);

endmodule

3.2.3 波形分析

圖3.2 6分頻波形圖

3.3 占空比為1:15的分頻電路

這里以50Mhz為主振源,占空比為1:15。

3.3.1 rtl代碼

//div6

module div6(

input?????????? rst_n,

input?????????? clk,

output reg????? div115

);

?reg [3:0] cnt;

?always@(posedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? cnt<=4'd0;

?????? elseif(cnt==4'd15)

????????????? cnt<=4'd0;

???????else

???? ???????????cnt<=cnt+1'b1;

end

always@(posedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? div115<=1'b0;

?????? elseif(cnt==4'd14)

????????????? div115<=1'b1;

?????? elseif(cnt==4'd15)

????????????? div115<=1'b0;

?????? else

????????????? div115<=div115;

end

endmodule

3.3.2 testbench

//tb_div

`timescale 1ns/1ns

module tb_div1_15();

reg clk;

reg rst_n;

wire div115;

?//parameter define

parameter PERIOD=20;

?//clock define

always #(PERIOD/2) clk=~clk;

//初始化

initial

begin

?????? clk=1'b1;

?????? rst_n=1'b0;

?????? #(20*PERIOD)

?????? rst_n=1'b1;

?????? #(1000*PERIOD)

?????? $finish;

end

?//例化

div6 u1(

.clk(clk),

.rst_n(rst_n),

.div115(div115)

);

?Endmodule

3.3.3 波形分析

圖3.3?占空比為1:15的分頻器電路波形圖

3.4 奇數分頻電路

這里以50Mhz為主振源,采用5分頻。
3.4.1 rtl代碼

//div6

module div5(

input?????????? rst_n,

input?????????? clk,

output????????? div5

);

reg [2:0] cnt1;

reg [2:0] cnt2;

reg div1;

reg div2;

always@(posedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? cnt1<=3'd0;

?????? elseif(cnt1==3'd4)

????????????? cnt1<=3'd0;

???????else

??????????????? cnt1<=cnt1+1'b1;

end

?always@(posedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? div1<=1'b0;

?????? elseif(cnt1==3'd0 || cnt1==3'd1)

????????????? div1<=1'b1;

???????else

??????????????? div1<=1'b0;

end

always@(negedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? cnt2<=3'd0;

?????? elseif(cnt2==3'd4)

????????????? cnt2<=3'd0;

???????else

??????????????? cnt2<=cnt2+1'b1;

end

always@(negedge clk or negedge rst_n)

begin

?????? if(!rst_n)

????????????? div2<=1'b0;

?????? elseif(cnt2==3'd0 || cnt2==3'd1)

????????????? div2<=1'b1;

?????? else

????????????? div2<=1'b0;

end

assign div5=div1 | div2;

endmodule

3.4.2 testbench

//tb_div

`timescale 1ns/1ns

module tb_div5();

reg clk;

reg rst_n;

wire div5;

//parameter define

parameter PERIOD=20;

?//clock define

always #(PERIOD/2) clk=~clk;

?//初始化

initial

begin

?????? clk=1'b1;

?????? rst_n=1'b0;

?????? #(20*PERIOD)

?????? rst_n=1'b1;

?????? #(1000*PERIOD)

?????? $finish;

end

?//例化

div5 u1(

.clk(clk),

.rst_n(rst_n),

.div5(div5)

);

endmodule

3.4.3 波形分析

圖3.4 5分頻波形圖

總結

以上是生活随笔為你收集整理的16 分频 32 分频是啥意思_Verilog 数字分频器的设计及验证的全部內容,希望文章能夠幫你解決所遇到的問題。

如果覺得生活随笔網站內容還不錯,歡迎將生活随笔推薦給好友。

主站蜘蛛池模板: 精品国产一区二区三 | 国产字幕av | 国产在线观看第一页 | 91久久一区 | 九九久久国产视频 | 黄色在线免费观看视频 | 少妇激情偷人三级 | 激情欧美网站 | 光溜溜视频素材大全美女 | av免费在线观看网站 | 精品久草 | 男女视频一区 | 日韩欧美有码 | 久久无码性爱视频 | 99精品在线观看 | 天天操夜夜操夜夜操 | 欧美性生活xxx | 免费网站在线高清观看 | 国产精品青青草 | 成人77777| 日韩av中文字幕在线播放 | 超碰超碰在线 | 人妻偷人精品一区二区三区 | 欧美黄网站| 天堂色网 | 亚洲精品一区二区三区蜜臀 | 亚洲精品在线观看网站 | 韩国一区二区在线观看 | av中文在线播放 | 欧美日韩在线观看免费 | 国产艳妇疯狂做爰视频 | 亚洲高清毛片 | 久久理伦 | 一区二区在线免费 | av男人资源 | 国模无码视频一区二区三区 | 欧美巨大乳 | 五月激情婷婷综合 | 日韩精品一区二区三区无码专区 | 午夜影院在线观看 | 影音先锋久久久 | 久久中文字幕电影 | 欧美在线一区视频 | 天天干天天搞天天射 | 少妇无码一区二区三区免费 | 国产精品69久久久久孕妇欧美 | 性生活一区 | 福利电影一区二区三区 | 日韩免费三级 | 乌克兰av在线 | 一级片一级片 | 免费视频黄色 | 亚洲AV乱码国产精品观看麻豆 | 国产aaa毛片 | 以女性视角写的高h爽文 | 午夜婷婷丁香 | 成人录像 | 肉肉视频在线观看 | 蜜臀视频在线播放 | 男人天堂va| 亚洲欧洲在线播放 | 9色91| 在线免费观看高清视频 | 国产无套免费网站69 | 成人羞羞网站 | 日本国产在线 | 国产在线一区二区三区 | 欧美人与牲动xxxx | 天天舔天天射天天干 | 三点尽露的大尺度国产 | 国产在线综合视频 | 蜜臀av性久久久久蜜臀av麻豆 | 中文字幕在线播放视频 | 99这里| 亚洲高清无码久久 | 中文字幕影片免费在线观看 | 38激情| 91久久国产精品 | 色综合免费视频 | 福利一区二区在线观看 | 国精产品一区一区三区视频 | 久久人妻无码aⅴ毛片a片app | 一本一道人人妻人人妻αv 九一在线视频 | 亚洲区精品 | 性xxxx视频播放免费 | 色天天综合 | 国产精品久久一 | 国产二区免费 | 伊人色在线 | 五月婷婷综合色 | 日韩亚洲精品视频 | 干骚视频| 色老久久 | 国产伦精品一区二区三区视频免费 | 在线一区| 日韩精品一卡二卡 | 探花视频在线观看 | 欧美处女| 色在线影院 |