偶分频电路
(1)方法一? ??
偶數分頻,假設N(偶數)分頻,只需要計數到N/2-1,然后時鐘翻轉、計數器清零,如此循環就可以得到N(偶)分頻。
`timescale 1ns / 1ps
module oufenpindianlu(
? ? ?input clk ? ,
? ? ?input rst_n ?,
? ? ?output reg out_clk
? ? );
parameter N=4;
reg [N/2-1:0] cnt;
always@(posedge clk or negedge rst_n)begin
? ?if (!rst_n)begin
? ? ? cnt<=0;
? ? ? out_clk<=0;
? ?end
? ?else begin
? ?if(cnt==N/2-1)begin
? ? ? out_clk<=~out_clk;
? ? ? cnt<=0;
? ?end
? ?else
? ? ? cnt<=cnt+1;
? ?end
end
endmodule
程序仿真結果如下:
?(2)方法二
直接使用計數器分頻
module CNT(
input clk,
input rst_n,
output reg [2:0] y
);
always @(posedge clk or negedge rst_n)begin
? ? ? ? if (rst_n==1'b0)
? ? ? ? ? ?y<=3'b0;
? ? ? ?else
? ? ? ? ? ? y<=y+1'b1;
end
endmodule
仿真結果:
?
總結
- 上一篇: 关于深度学习人工智能模型的探讨(一)(1
- 下一篇: 【C语言】C语言程序-求三角形面积