VHDL设计脉搏计的课程设计
生活随笔
收集整理的這篇文章主要介紹了
VHDL设计脉搏计的课程设计
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
脈搏計:
設計思路:
采用 1Hz掃描計時脈沖,fin為脈搏計數脈沖。實現脈搏計數功能。采用信號*4的方法來實現15秒內測1min內的脈搏數。
程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mbj is --定義實體為mbj
port(rst,fin,clk:in std_logic;
xs1,xs2,xs3:out std_logic_vector(3 downto 0));
end mbj;
architecture one of mbj is --結構體
begin
process(rst,fin,clk)<
總結
以上是生活随笔為你收集整理的VHDL设计脉搏计的课程设计的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 基于VHDL语言的数字秒表实现
- 下一篇: VHDL设计交通灯控制器的课程设计