FPGA实现A5算法并仿真
生活随笔
收集整理的這篇文章主要介紹了
FPGA实现A5算法并仿真
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
A5算法仿真,FPGA是digilent平臺下的,在vivado軟件平臺下創建工程,使用的是vhdl語言
下面是工程截圖:
仿真截圖如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity a5 is
port(reset,clk:in std_logic;
Key:in std_logic_vector(63 downto 0);
qout:out std_logic;
cipher_out:out std_logic_vector(63 downto 0);
plain_out:ou
總結
以上是生活随笔為你收集整理的FPGA实现A5算法并仿真的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 常用正则:身份证号码验证正则表达式
- 下一篇: 数据存储和界面展示(二)