日韩性视频-久久久蜜桃-www中文字幕-在线中文字幕av-亚洲欧美一区二区三区四区-撸久久-香蕉视频一区-久久无码精品丰满人妻-国产高潮av-激情福利社-日韩av网址大全-国产精品久久999-日本五十路在线-性欧美在线-久久99精品波多结衣一区-男女午夜免费视频-黑人极品ⅴideos精品欧美棵-人人妻人人澡人人爽精品欧美一区-日韩一区在线看-欧美a级在线免费观看

歡迎訪問 生活随笔!

生活随笔

當前位置: 首頁 > 编程资源 > 编程问答 >内容正文

编程问答

移位运算与乘法

發(fā)布時間:2023/12/15 编程问答 21 豆豆
生活随笔 收集整理的這篇文章主要介紹了 移位运算与乘法 小編覺得挺不錯的,現(xiàn)在分享給大家,幫大家做個參考.

移位運算與乘法

題目描述
已知d為一個8位數(shù),請在每個時鐘周期分別輸出該數(shù)乘1/3/7/8,并輸出一個信號通知此時刻輸入的d有效(d給出的信號的上升沿表示寫入有效)
信號示意圖

波形示意圖

`timescale 1ns/1ns module multi_sel( input [7:0]d , input clk, input rst, output reg input_grant, output reg [10:0]out ); //*************code***********//reg[1:0] count;//計算0-3always@(posedge clk or negedge rst)beginif(!rst)count <= 0;elsecount <= count + 1;end//根據(jù)波形可以看出不能根據(jù)d的值直接給出out的值,所以先對d的值進行寄存,或者使用狀態(tài)機對其進行賦值reg [7:0]d1;always@(posedge clk or negedge rst)beginif(!rst)beginout <= 11'b0;input_grant <= 1'b0;d1 <= 8'b0;endelsebegincase(count)2'b00:beginout <= d;d1 <= d;input_grant <= 1'b1;end2'b01:beginout <= d1 + {d1,1'b0};input_grant <= 1'b0;end2'b10:beginout <= d1 + {d1,1'b0}+ {d1,2'b0};input_grant <= 1'b0;end 2'b11:beginout <= {d1,3'b0};input_grant <= 1'b0;enddefault:beginout <= 11'b0;input_grant <= 1'b0;d1 <= 8'b0;endendcaseendend //*************code***********// endmodule

知識點
移位運算符(<<,>>)
雙目運算符:兩個操作數(shù)
移位可以實現(xiàn)無符號數(shù)的乘除法,有符號的乘法
補零
"<<"低位補零,無符號/有符號乘法
">>"高位補零,無符號數(shù)除法

拼接運算符{}
a=4’b1110;

g = {a,1’b0} = 5’b111100;//拼接->乘法
h = {a[2:0],1’b0} = 4’b1100;//拼接->乘法
i = {1’b0,a[3:1]} = 4’b0111;//拼接->無符號數(shù)除法
j = {1’b1,a[3:1]} = 4’b0111;//拼接->有符號數(shù)除法

注意:如果表達式中有一個無符號數(shù),則所有的操作數(shù)都會被強行轉(zhuǎn)換為無符號數(shù)。

總結(jié)

以上是生活随笔為你收集整理的移位运算与乘法的全部內(nèi)容,希望文章能夠幫你解決所遇到的問題。

如果覺得生活随笔網(wǎng)站內(nèi)容還不錯,歡迎將生活随笔推薦給好友。